Photonics Spectra BioPhotonics Vision Spectra Photonics Showcase Photonics Buyers' Guide Photonics Handbook Photonics Dictionary Newsletters Bookstore
Latest News Latest Products Features All Things Photonics Podcast
Marketplace Supplier Search Product Search Career Center
Webinars Photonics Media Virtual Events Industry Events Calendar
White Papers Videos Contribute an Article Suggest a Webinar Submit a Press Release Subscribe Advertise Become a Member


Partnership Streamlines EUV Dry Resist Tech Chemical Supply Chain

A partnership unveiled this month at SEMICON WEST 2022 aims to provide semiconductor manufacturers with reliable access to precursor chemicals for dry photoresist technology for EUV lithography.

Lam Research Corp. will work with Entegris Inc. and Gelest Inc., a Mitsubishi Chemical Group company, on EUV dry resist technology R&D for future device generations of logic and dynamic random-access memory (DRAM) products. These products support a range of features and technologies spanning machine learning and artificial intelligence to mobile devices.

“This new long-term collaboration further broadens the growing ecosystem for dry resist technology and will provide dual-source supply from semiconductor material leaders with provisions for continuity of delivery in all global markets,” the companies said in a shared press release.

Lam Research, a provider of wafer fabrication equipment and services to the semiconductor industry, will partner with Gelest and Entegris, suppliers of precursor chemicals for dry photoresist technology for EUV lithography, for R&D targeting future device generations of logic and DRAM products. Courtesy of Lam Research.  
The three companies will accelerate development of future cost-effective EUV dry resist solutions for high numerical aperture (NA) EUV patterning, which is widely seen as the patterning technology that will be required for continued device scaling and advancement of semiconductor technology. Dry resist provides the high etch resistance and tunable thickness scaling of deposition and development necessary to support high-NA EUV’s reduced depth-of-focus requirements.

“This collaboration brings together Lam’s dry resist expertise and cutting-edge solutions with material science capabilities and trusted supply channels from two industry precursor chemical leaders,” said Rick Gottscho, executive vice president and CTO of Lam Research.

Dry resist extends the resolution, productivity, and yield of EUV lithography, thereby addressing key challenges associated with creation of next-generation DRAM and logic technologies. It provides superior dose-to-size and dose-to-defectivity performance, enabling higher EUV scanner productivity and lower cost of ownership.

Explore related content from Photonics Media




LATEST NEWS

Terms & Conditions Privacy Policy About Us Contact Us

©2024 Photonics Media