Photonics Spectra BioPhotonics Vision Spectra Photonics Showcase Photonics Buyers' Guide Photonics Handbook Photonics Dictionary Newsletters Bookstore
Latest News Latest Products Features All Things Photonics Podcast
Marketplace Supplier Search Product Search Career Center
Webinars Photonics Media Virtual Events Industry Events Calendar
White Papers Videos Contribute an Article Suggest a Webinar Submit a Press Release Subscribe Advertise Become a Member


eBeam Expands Focus, Education Efforts

The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, will be expanding its efforts to increase focus on eBeam requirements and new developments.

The plans for extreme UV lithography, nanoimprint lithography (NIL) and multibeam mask writing were addressed by industry luminary guest speakers at the annual eBeam Initiative luncheon held during the SPIE Advanced Lithography Conference.

Canon Nanotechnologies Inc. has also joined the initiative, providing perspective on semiconductor technology and NIL.

"eBeam technology is essential, no matter which lithography approach is used, for semiconductor manufacturing,” said Aki Fujimura, CEO of D2S, managing company sponsor of the eBeam Initiative. “Canon's pioneering work in NIL is important to the development of advanced semiconductor technology. We look forward to Canon's support of our ongoing collaborative efforts to educate the photomask and semiconductor industries on the importance of eBeam technology."

Last year’s eBeam Initiative's annual survey revealed that the majority of respondents believe that multi-beam mask writing machines will be used in high-volume manufacturing for critical-layer masks by the end of 2018. To support the infrastructure for multi-beam mask writing, as well as alternative next-generation lithography approaches like EUV and NIL, the eBeam Initiative will increase its education focus in these areas.

"Canon is building on more than a decade of investment in developing NIL into a viable semiconductor lithography solution for sub-20-nm high-resolution processes," stated Doug Resnick, vice president of marketing and business development at Canon Nanotechnologies. "The ability to write 1X patterns on NIL templates with extremely high precision is critical to realizing NIL's potential as a production-worthy technology.”

Explore related content from Photonics Media




LATEST NEWS

Terms & Conditions Privacy Policy About Us Contact Us

©2024 Photonics Media